Student Work

Log Likelihood Ratio Soft Decision Demapper: An FPGA Implementation for a High Data Rate Modem

Public

Downloadable Content

open in viewer

This Project is sponsored by The MITRE Corporation to develop an FPGA implementation of a Log Likelihood Ratio (LLR) soft decision demapper for a High Data Rate (HDR) modem. The main goal of this project is to add support for higher order modulation up to 32APSK for HDR and high bandwidth efficiency. Through preliminary research, several DVB-S2 soft decision LLR algorithms are investigated for different modulation schemes in order to decide which algorithm will be implemented in synthesizable Hardware Description Language (HDL). Algorithms are analyzed based on performance simulation in MATLAB and complexity analysis. The goal is to improve the performance of current system and provide recommendations for future designs of the soft decision demapper for DVB-S2.

  • This report represents the work of one or more WPI undergraduate students submitted to the faculty as evidence of completion of a degree requirement. WPI routinely publishes these reports on its website without editorial or peer review.
Creator
Publisher
Identifier
  • E-project-102913-194736
Advisor
Year
  • 2013
Center
Sponsor
Date created
  • 2013-10-29
Location
  • New Bedford
Resource type
Major
Rights statement

Relations

In Collection:

Items

Items

Permanent link to this page: https://digital.wpi.edu/show/pv63g183t